作业帮 > 综合 > 作业

quartus 中VHDL错误

来源:学生作业帮 编辑:神马作文网作业帮 分类:综合作业 时间:2024/10/05 08:34:08
quartus 中VHDL错误
library ieee;
use ieee.std_logic_1164.all;
entity and2 is
-- generic(rise,fall:TIME);
port(a,b:in bit;
c:out bit);
end entity;
architecture wen of and2 is
begin
process
begin
c
quartus 中VHDL错误
小错误 ,检查你的实体名与文件名是否一致,entity .. architecture 里的实体名是否一致!